Intel announced the Intel 14A process technology – it will launch in 2027 using High-NA EUV lithography

Intel has unveiled fresh plans to develop advanced technological processes. Among other things, the company announced the 1.4-nm Intel 14A process technology, which will be the world's first chip production technology using ultra-hard ultraviolet lithography with a high numerical aperture (High-NA EUV).. In addition, additions to previously presented plans for the launch of technical processes were announced.

Image source: Intel

Intel CEO Pat Gelsinger's original plan, unveiled in 2022, to launch five process technologies in four years, remains in effect.. Intel 7 and Intel 4 process technologies are already on the market, and Intel 3 is ready for large-scale production. Development of Intel 20A (2 nm) and 18A (1.8 nm) process technologies is on track or even ahead of schedule. Company management expects Intel to regain leadership in advanced semiconductors with the launch of Intel 18A in 2025.

Intel has already provided partners with tools for designing chips for the 18A process technology in version PDK 0.9, and the final version of PDK 1.0 tools will appear in April or May. In addition, Intel has already completed the design of Xeon Clearwater Forest server processors, meaning they are actually ready for production. Clearwater Forest will be the first high-volume chip made using Intel's 18A process technology.

Intel's expanded process roadmap includes the new Intel 14A, as well as several customized versions of previously introduced process technologies.. The company is yet to reveal performance and density targets for the 14A, saying it doesn't want to let competitors know just yet.. It is known that 1.4 nm Intel chips will be equipped with the next generation PowerVia power supply system (probably Source-on-Contact) and RibbonFET GAA transistors. Intel plans to have two flavors of 14A: the standard 14A and a subsequent improved version 14A-E, where the letter E stands for enhanced capabilities. This is part of Intel's new approach to creating various modifications of existing technical processes to extend their life cycle, like TSMC and Samsung.

Intel has not yet announced exact dates, but it is known that the 14A-E process technology will be launched into test production in 2027. Accordingly, we can assume that 14A will appear in 2026, at least in test form, and by 2027 it will reach mass production. Like other advanced Intel processes, the new 14A will be developed in Oregon and then rolled out at other sites.

Note that TSMC, according to unofficial data, will begin to use High-NA EUV only by 2030, that is, much later than Intel. However, this does not mean that it will automatically lag behind technologically.. High-NA technology will not be cheap, and according to industry reports, it is not as effective as dual-patterned Low-NA EUV technology. Intel is confident that the cost of producing chips will meet its expectations, but also notes that it will adjust its strategy if necessary.

Intel will also expand its Intel 18A, Intel 3, Intel 7 and Intel 16 process technologies with new versions. Intel plans to launch new process technologies every two years, and then supplement them with extensions every two years. Additional technical processes will be indicated by suffixes. The letter P will indicate a new version of the technology with improved performance. The T suffix will indicate processes equipped with TSV (Through-silicon via) connection support, which can be used in systems with 3D Foveros packaging. The E suffix will indicate specialized new features such as custom voltage range. Intel will also launch PT processes that will offer both performance improvements and TSV support, and other combination solutions are likely to emerge over time.

Intel will also soon launch the Intel 12 process technology, which will be the result of a manufacturing collaboration with UMC. It is also noted that Intel Foundry will produce chips using mature 65 nm technology with the help of Tower Semiconductor. Both of these collaborations are key to the continued expansion of the Intel Foundry, allowing the company to extract more value from its already paid-for hardware and production capacity – keeping it busy rather than idle.

Intel 20A and Intel 18A process technologies will offer GAA transistors and back-side power supply (BSPDN). Moreover, the latest technology will be implemented two years earlier than TSMC, and in terms of GAA implementation, Intel will be 1.5 years ahead of the Taiwanese manufacturer. Of course, this does not mean the defeat of TSMC at all – Samsung implemented GAA even earlier, but difficulties with mass production did not allow them to realize the advantage. Nevertheless, at least from a technological point of view, Intel will be ahead, and this will be a good help for realizing its ambitions in the contract manufacturing market for chips.

The most important for the company is the Intel 18A process technology. And the company has already collected four large orders for the production of chips using this technology, and one of them includes a large prepayment, which means that we are talking about a very significant number of chips. Even today, Microsoft announced that it will order the production of its chips using the 1.8 nm process technology from Intel.. We add that Intel has succeeded in promoting the Intel 16 and Intel 3 process technologies, and has also entered into major deals for chip packaging services.